Dolu1990 on dev
extends sexport and MemoryConne… (compare)
Dolu1990 on dev
Axi4WriteOnlyArbiter add routeB… (compare)
jijingg on dev
add user env setup func fix before analysis Merge pull request #747 from ws… (compare)
Dolu1990 on dev
fix Scala 2.13 compilation (compare)
Dolu1990 on dev
Fix lib.pipeline connection nam… (compare)
Dolu1990 on dev
Fix scala 2.13 compilation (compare)
Dolu1990 on dev
Fix scala 2.13 compilation (compare)
Dolu1990 on dev
spinal.core.ContextSwapper.outs… Add spinal.lib.pipeline (Experi… (compare)
Is it possible to have FST instead of VCD for wavetraces? I havent found anything in the documentation yet
you can do it with withFstWave: https://github.com/SpinalHDL/SpinalHDL/blob/e40a9b01419fc01104e15d73b585c730c7c2fb6f/lib/src/main/scala/spinal/lib/fsm/Example.scala#L645
println(s"Hello, $name") // Hello, James ?
report
: https://spinalhdl.github.io/SpinalDoc-RTD/master/SpinalHDL/Other%20language%20features/report.html?highlight=report
@pwang7 assert(False, L"miaou $False", FAILURE) is fine for me
I prepared a simple example to illustrate the compiler error of the L"" interpolate in assert:
https://github.com/pwang7/SpinalAssertDemo
after clone the repo, just run ./run.sh
to compile and it shows the error:
./run.sh
+ export MILL_VERSION=0.10.3
+ [ ! -f mill ]
+ MILL=./mill --no-server
+ ./mill --no-server version
[1/1] version
0.10.3
+ mkdir -p ./rtl
+ ./mill --no-server _.runMain AssertDemo
[31/42] demo.compile
[info] compiling 1 Scala source to /home/pwang/Downloads/code/SpinalAssertDemo/out/demo/compile.dest/classes ...
[error] /home/pwang/Downloads/code/SpinalAssertDemo/demo/src/demo.scala:12:3: overloaded method assert with alternatives:
[error] (assertion: spinal.core.Bool,message: Seq[Any])(implicit loc: spinal.idslplugin.Location): spinal.core.internals.AssertStatement <and>
[error] (assertion: spinal.core.Bool,message: String)(implicit loc: spinal.idslplugin.Location): spinal.core.internals.AssertStatement <and>
[error] (assertion: spinal.core.Bool,severity: spinal.core.AssertNodeSeverity)(implicit loc: spinal.idslplugin.Location): spinal.core.internals.AssertStatement <and>
[error] (assertion: Boolean,message: => Any)(implicit loc: spinal.idslplugin.Location): Unit
[error] cannot be applied to (spinal.core.Bool, spinal.core.LiteralBuilder#LList)
[error] assert(False, L"${REPORT_TIME} time: ${io.input.payload}")
[error] ^
[error] one error found
1 targets failed
demo.compile Compilation failed
class TopLevel extends Component {
val io = new Bundle {
val sink_data = in UInt(1 bit)
val sink_data_valid = in Bool()
val source_pam4 = out UInt(2 bits)
// val source_pam4_valid = out Bool()
}
val sink_data_r = Reg(UInt(2 bits))
sink_data_r := (io.sink_data , io.sink_data)
@Dolu1990 thanks ! this is my code.
type mismatch;
[error] found : spinal.core.UInt
[error] required: (Any, Any)
[error] sink_data_r := (io.sink_data , io.sink_data)
[error] ^
start
should be enabledimport dut.io
io.start #= true