git release 1.2.4
script like this❯ cat `which git-release`
set -eux
version=$1
git tag -af "v$version" -m "v$version" && git push -f origin v$version
crossScalaVersions
to cross-build the docs
sbt project against multiple versions, but that would give you independent copies of the entire website
If you are deploying to GitHub pages, make sure to run yarn deploy instead of yarn publish-gh-pages script.
sbt docusaurusPublishGithubSomethingCommand
won't work
Use Docusaurus v2 if:
✅ You want a single-page application (SPA) with client-side routing
Hi all,
I'm having an issue moving from tut
to mdoc
on a project using Scala 2.12.8:
I tried: addSbtPlugin("org.scalameta" % "sbt-mdoc" % "2.2.18")
and addSbtPlugin("org.scalameta" % "sbt-mdoc_2.12" % "2.2.18")
but both failed:
The first one looks for:
https://repo1.maven.org/maven2/org/scalameta/mdoc-runtime_2.12.8/2.2.18/mdoc-runtime_2.12.8-2.2.18.pom
and the second one looks for:
https://repo1.maven.org/maven2/org/scalameta/sbt-mdoc_2.12_2.12_1.0/2.2.18/sbt-mdoc_2.12-2.2.18.pom maybe because of coursier/coursier#1950
(while the correct URL is https://repo1.maven.org/maven2/org/scalameta/mdoc-runtime_2.12/2.2.18/mdoc-runtime_2.12-2.2.18.pom)
Hi everyone!
Starting the sbt-mdoc plugin version v2.2.15 we’re getting the following error in the project:
docs/mdoc
[warn] There may be incompatibilities among your library dependencies; run 'evicted' to see detailed eviction warnings.
[error] Modules were resolved with conflicting cross-version suffixes in ProjectRef(uri("file:/Users/daunnc/subversions/git/github/pomadchin/franklin/"), "docs"):
[error] org.scalameta:mdoc-runtime _2.12.12, _2.12
[error] org.scalameta:mdoc _2.12.12, _2.12
[error] stack trace is suppressed; run last docs / update for the full output
[error] (docs / update) Conflicting cross-version suffixes in: org.scalameta:mdoc-runtime, org.scalameta:mdoc
[error] Total time: 3 s, completed Mar 9, 2021 1:44:36 PM
The project Scala version is 2.12.12 / 2.12.13
Are there any pointers towards this issue resolution?
Rollback to 2.2.14 plugin version works
```scala mdoc:invisible:start
import chisel3._
class MyModule extends Module {
val a, b = IO(Input(UInt(8.W)))
val en = IO(Input(Bool()))
val out = IO(Output(UInt(8.W)))
```
You can define a mux like so:
```scala mdoc:silent
out := Mux(en, a, b)
```
```scala mdoc:invisible:end
}
// This runs our own runtime checks
chisel3.stage.ChiselStage.emitVerilog(new MyModule)
```